Friday, December 17, 2010

Garuda di dadaku


“Garuda di dadaku, garuda kebanggaan ku, ku yakin hari ini pasti menang..”
Lagu itulah yang dinyanyikan oleh para supporter Indonesia kemarin malam di stadion utama Gelora Bung Karno, semua bersatu untuk mendukung timnas Indonesia yang sedang berjuang di semi final leg pertama kamis malam. Dari mulai rakyat biasa, artis, pejabat tinggi Negara sampai presiden Indonesia pun menonton pertandingan Filipina vs Indonesia tersebut. 80 ribu Tiket yang di sediakan panitia pun ludes terjual. Tapi tidak hanya di Gelora Bung Karno saja yang ramai oleh supporter Indonesia di setiap wilayah beramai ramai mengadakan nonton bareng.
Ya,  saat ini rakyat Indonesia sedang “keranjingan” menonton timnas Indonesia di turnamen AFF cup 2010, selain memang performa tim yang sedang menanjak, kehadiran striker naturalisasi cristian Gonzales dan irfan bachdim menjadi salah satu alasan kenapa rakyat indonesia tiba tiba menonton sepak bola terutama untuk para wanita, padahal sebelum pertandingan Indonesia vs Malaysia saya ingat di timeline twitter saya banyak yang pesimis terhadap hasil yang bakal dicapai Indonesia. sekarang  jika ditanya kenapa mau menonton timnas pasti banyak yang menjawab ingin melihat irfan bachdim bermain, tidak bisa dipungkiri memang irfan bachdim selain berwajah tampan, irfan adalah pemain muda yang bisa menjadi tumpuan Indonesia di masa depan, mengingat umurnya yang masih 22 tahun ia masih mempunyai banyak waktu untuk meningkatkan kemampuannya untuk membawa Indonesia menjadi juara di suatu turnamen. Mengingat Indonesia memang sedang “seret” gelar juara.
Kembali ke pertandingan Filipina vs Indonesia, starting eleven Indonesia di isi oleh Markus; Zulkifri, Maman, Hamka, M. nasuha; Firman, Bustomi, Okto; Gonzales dan Irfan. Di awal awal pertandingan Indonesia sempat mendapat beberapa ancaman dari kaka beradik younghusband pemain naturalisasi Filipina tapi beruntung Markus dapat memblok serangan serangan dari Filipina dan Indonesia melancarkan counter attack yang sesekali merepotkan lini pertahanan Filipina dan akhirnya di menit 33 striker Indonesia, cristian Gonzales mampu membobol gawang Filipina yang di jaga etheridge setelah menerima long pass yang dilepaskan oleh firman utina dan Indonesia pun unggul 1-0 yang membuat seisi stadion bergemuruh menyambut gol tersebut. Di babak kedua kedua tim silih berganti melancarkan serangan dan bertahan hingga di pertengahan babak kedua Filipina memiiki peluang emas untuk menyamakan kedudukan pada saat markus kurang berkumunikasi dengan maman sehingga bola direbut pemain Filipina, untungnya zulkifri tepat berada di depan gawang bisa membuang bola dan melakukan penyelamatan gemilang dan Indonesia pun tetap unggul 1-0 hingga akhir pertandingan.
Kini satu kaki timnas Indonesia ada di babak final, tapi sebelum benar benar menginjak babak final dan melawan pemenang dari Malaysia vs Vietnam, tim garuda harus menghadapi azkals untuk kedua kalinya pada hari minggu, 19 desember 2010 di stadion utama Gelora Bung Karno. Kita doakan semoga timnas Indonesia bisa tetap focus pada pertandingan dan tidak melakukan blunder blunder yang merugikan dan memenangkan pertandingan leg kedua dan berlaga di babak final tanggal 26 & 29 desember nanti.
INDONESIA !  DUG DUG DUG DUG DUG DUG DUG DUG

No comments:

Post a Comment